Home            Contact us            FAQs
    
      Journal Home      |      Aim & Scope     |     Author(s) Information      |      Editorial Board      |      MSP Download Statistics

     Research Journal of Applied Sciences, Engineering and Technology `


1K.S. Neelukumari and 2Dr. K.B. Jayanthi

1K.S. Neelukumari and 2Dr. K.B. Jayanthi
1Department of Electronics and Communication Enginnering, P.A. College of Engineering and Technology, Pollachi
2Department of Electronics and Communication Enginnering, K.S. Rangasamy College of Technology, Namakkal, Tamilnadu, India
Research Journal of Applied Sciences, Engineering and Technology `  2016  3:253-257
http://dx.doi.org/10.19026/rjaset.12.2331  |  © The Author(s) 2016
Received: January ‎23, ‎2015  |  Accepted: May ‎28, ‎2015  |  Published: February 05, 2016

Abstract

Power dissipation is a significant problem as complexity of the circuit increases, which also increases during testing the VLSI circuits. So test data volume and test application time are major concerns for large industrial circuits. Test set selection is necessary to ensure that the most effective patterns are chosen from large test set in a high volume testing environment. LFSR reseeding forms the basis for many test compression solution, which increase the encoding efficiency of test compression based on LFSR. The most important criteria is to optimize the patterns generated for Built In Self-Test (BIST) which maximize the fault coverage and reduce the number of transition in the scan chains. Efficient techniques for test generations are essential in order to reduce the test generation time and size. In this study the output deviation method is used to select the effective test pattern from a large n-detect test set for test data compression scheme. The experiments are performed on ISCAS ’85 benchmark circuits.

Keywords:

BIST, encoding algorithm, Linear Feedback Shift Register (LFSR),


References

  1. Al-Yamani, A.A. and E.J. McCluskey, 2003a. Seed encoding with LFSRs and cellular automata. Proceeding of the Design Automation Conference, pp: 560-565.
    CrossRef    
  2. Al-Yamani, A.A. and E.J. McCluskey, 2003b. Built-in reseeding for serial BIST. Proceeding of the VLSI Test Symposium, pp: 63-68.
    CrossRef    
  3. Al-Yamani, A.A., S. Mitra and E.J. McCluskey, 2003. BIST reseeding with very few seeds. Proceeding of the 21st VLSI Test Symposium, IEEE Computer Society, pp: 69-74.
    CrossRef    
  4. Balakrishnan, K.J. and N.A. Touba, 2006. Improving linear test data compression. IEEE T. VLSI Syst., 14(11): 1227.
    CrossRef    
  5. Chandra, A. and K. Chakrabarty, 2000. Test data compression for system on- a-chip using Golomb codes. Proceeding of the VLSI Test Symposium, pp: 113-120.
    CrossRef    
  6. Chandra, A. and K. Chakrabarty, 2001. Combining low-power scan testing and test data compression for system-on-a-chip. Proceeding of the 38th Annual Design Automation Conference (DAC '01), pp: 166-169.
    CrossRef    
  7. Jinkyu, L. and N.A. Touba, 2007. LFSR-reseeding scheme achieving low-power dissipation during test. IEEE T. Comput. Aid. D., 26(2): 396-401.
    CrossRef    
  8. Kalligeros, E., X. Kavousianos and D. Nikolos, 2004. Multiphase BIST: A new reseeding technique for high test-data compression. IEEE T. Comput. Aid. D., 23(10): 1429-1446.
    CrossRef    
  9. Krishna, C.V., A. Jas and N.A. Touba, 2001. Test vector encoding using partial LFSR reseeding. Proceeding of the International Test Conference, pp: 885-893.
    CrossRef    
  10. Lee, J. and N.A. Touba, 2004. Low power test data compression based on LFSR reseeding. Proceeding of the International Conference on Computing Design: VLSI in Computers and Processors, pp: 180-185.
  11. Volkerink, E.H. and S. Mitra, 2003. Efficient seed utilization for reseeding based compression. Proceeding of the 21st VLSI Test Symposium, pp: 232-237.
  12. Wang, Z. and K. Chakrabarty, 2008a. Test-quality/cost optimization using output-deviation-based reordering of test patterns. IEEE T. Comput. Aid. D., 27(2): 352-365.
    CrossRef    
  13. Wang, Z. and K. Chakrabarty, 2008b. Test data compression using selective encoding of scan slices. IEEE T. VLSI Syst., 16(11): 1429-1440.
    CrossRef    
  14. Wang Z., F. Hongxia, K. Chakrabarty and M. Bienek, 2008. Deviation-based LFSR reseeding for test-data compression. IEEE T. Comput. Aid. D., 28(2): 259-271.
    CrossRef    

Competing interests

The authors have no competing interests.

Open Access Policy

This article is distributed under the terms of the Creative Commons Attribution 4.0 International License (http://creativecommons.org/licenses/by/4.0/), which permits unrestricted use, distribution, and reproduction in any medium, provided you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons license, and indicate if changes were made.

Copyright

The authors have no competing interests.

ISSN (Online):  2040-7467
ISSN (Print):   2040-7459
Submit Manuscript
   Information
   Sales & Services
Home   |  Contact us   |  About us   |  Privacy Policy
Copyright © 2024. MAXWELL Scientific Publication Corp., All rights reserved