Home            Contact us            FAQs
    
      Journal Home      |      Aim & Scope     |     Author(s) Information      |      Editorial Board      |      MSP Download Statistics

     Research Journal of Applied Sciences, Engineering and Technology


Thermal Aware Floor planning Technique for Nano Circuits

G. Nallathambi, D. Gracia Nirmala Rani and S. Rajaram
Department of ECE, Thiagarajar College of Engineering, Madurai-625015, India
Research Journal of Applied Sciences, Engineering and Technology  2014  10:1279-1284
http://dx.doi.org/10.19026/rjaset.8.1096  |  © The Author(s) 2014
Received: July ‎24, ‎2014  |  Accepted: September ‎13, ‎2014  |  Published: September 15, 2014

Abstract

The strongest challenge that a VLSI designer has to face today is the extremely high heat generation within a chip which not only degrades the performance but also the yield and reliability are greatly affected. The situation even became worse with the more number of wires in a single chip and due to path to path temperature variations within the chip. Nowadays VLSI circuits have immense variations in temperature and their linear relationship between metal resistance and temperature causes distinct delay through wires of the same length. The aim of this study is to analyze floor planning algorithms and wire plan methods to reduce the temperature dependent delay in global wires. We propose a temperature dependent wire delay estimation method for thermal aware floor planning algorithms, which takes into account the thermal effect on wire delay. The experiment results show that a shorter delay can be achieved, the congestion and reliability issues as they are closely related to routing and temperature using the proposed method.

Keywords:

Congestion , floor planning , thermal, wire delay,


References

  1. Ajami, A., K. Banerjee and M. Pedram, 2005. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. IEEE T. Comput. Aid. D., 24(6): 849-861.
    CrossRef    
  2. Black, J., 1969. Electro migration-a brief survey and some recent results. IEEE T. Electron. Dev., 16(4): 338-347.
    CrossRef    
  3. Chakraborty, A., K. Duraisami, A. Sathanur and P. Sithambaram, 2008. Dynamic thermal clock skew compensation using tunable delay buffers. IEEE T. VLSI Syst., 16(6): 639-649.
    CrossRef    
  4. Chen, T.C. and Y.W. Chang, 2006. Modern floorplanning based on b*-tree and fast simulated annealing. IEEE T. Comput. Aid. D., 25(4): 637-650.
    CrossRef    
  5. Cho, M., S. Ahmedtt and D.Z. Pan, 2005. TACO: Temperature aware clock-tree optimization. Proceeding of IEEE/ACM International Conference on Computer-Aided Design (ICCAD, 2005), pp: 582-587.
  6. Elmore, W.C., 1948. The transient response of damped linear networks with particular regard to wideband amplifiers. J. Appl. Phys., 19(1): 55-63.
    CrossRef    
  7. Gupta, A., N. Dutt, F. Kurdahi, K. Khouri and M. Abadir, 2008. Thermal aware global routing of VLSI chips for enhanced reliability. Proceeding of 9th International Symposium on Quality Electronic Design, pp: 470-475.
    CrossRef    
  8. Gupta, A., N. Dutt, F. Kurdahi, K. Khouri and M. Abadir, 2007. LEAF: A system level leakage-aware floorplanner for SoCs. Proceeding of the 2007Asia and South Pacific Design Automation Conference (ASP-DAC, 2007), pp: 274-279.
    CrossRef    
  9. Han, Y., I. Koren and C.A. Moritz, 2005. Temperature aware floor planning. Proceeding of Workshop on Temperature Aware Microarchitectures.
  10. Huang, W., S. Ghosh, K. Velusamy and K. Sankaranarayanan, 2006. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE T. VLSI Syst., 14(5): 501-513.
    CrossRef    
  11. Jaffari, J. and M. Anis, 2007. Thermal-aware placement for FPGAs using electrostatic charge model. Proceeding of 8th International Symposium on Quality Electronic Design (ISQED, 2007), pp: 666-671.
    CrossRef    
  12. Liu, C., J. Su and Y. Shi, 2008. Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations. Proceeding of 26th IEEE International Conference on Computer Design, pp: 107-113.
    CrossRef    
  13. Lu, K. and D. Pan, 2009. Reliability-aware global routing under thermal considerations. Proceeding of 1st Asia Symposium on Quality Electronic Design, pp: 313-318.
    CrossRef    
  14. Ning, X. and J. Zhonghua, 2008. Thermal aware floorplanning using Gauss-Seidel method. J. Electron., 25(6): 845-851.
    CrossRef    
  15. Sankaranarayanan, K., S. Velusamy, M. Stan and K. Skadron, 2005. A case for thermal-aware floorplanning at the micro architectural level. J. Instr. Level Parall., 7: 1-16.
  16. Schafer, B.C. and T. Kim, 2008. Hotspots elimination and temperature flattening in VLSI circuits. IEEE T. VLSI Syst., 16(11).
  17. Skadron, K., M. Stan, W. Huang, S. Velusamu, K. Sankaranarayanan and D. Tarjan, 2004. Temperature aware micro architecture: Modeling and Implementation. ACM T. Archit. Code Op., 1: 94-125.
    CrossRef    
  18. Tsai, C.H. and S.M. Kang, 2000. Cell-level placement for improving substrate thermal distribution. IEEE T. Comput. Aid. D., 19(2): 253-266.
    CrossRef    

Competing interests

The authors have no competing interests.

Open Access Policy

This article is distributed under the terms of the Creative Commons Attribution 4.0 International License (http://creativecommons.org/licenses/by/4.0/), which permits unrestricted use, distribution, and reproduction in any medium, provided you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons license, and indicate if changes were made.

Copyright

The authors have no competing interests.

ISSN (Online):  2040-7467
ISSN (Print):   2040-7459
Submit Manuscript
   Information
   Sales & Services
Home   |  Contact us   |  About us   |  Privacy Policy
Copyright © 2024. MAXWELL Scientific Publication Corp., All rights reserved